aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-12-17 09:24:23 +0100
committerTristan Gingold <tgingold@free.fr>2022-12-17 09:24:23 +0100
commit85c36c399e87a0bb946a105c3975e2c028ebf2e9 (patch)
tree1b05e8dd36f28f953ecdd1f653c313847dbdd6eb /testsuite
parentf4c526ed7103be4543c7695382c015219e66d811 (diff)
downloadghdl-85c36c399e87a0bb946a105c3975e2c028ebf2e9.tar.gz
ghdl-85c36c399e87a0bb946a105c3975e2c028ebf2e9.tar.bz2
ghdl-85c36c399e87a0bb946a105c3975e2c028ebf2e9.zip
testsuite/gna: add a test for #2269
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue2269/ent.vhdl15
-rwxr-xr-xtestsuite/gna/issue2269/testsuite.sh11
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue2269/ent.vhdl b/testsuite/gna/issue2269/ent.vhdl
new file mode 100644
index 000000000..21fb46b1f
--- /dev/null
+++ b/testsuite/gna/issue2269/ent.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+ use ieee.numeric_bit.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+ constant Bit_c : bit := '0';
+ constant BitVector_c : bit_vector(3 downto 0) := (others => Bit_c);
+begin
+ process begin
+ report "String test: " & to_hstring(BitVector_c) severity note;
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue2269/testsuite.sh b/testsuite/gna/issue2269/testsuite.sh
new file mode 100755
index 000000000..bda8f5d14
--- /dev/null
+++ b/testsuite/gna/issue2269/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"