aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorBen Wiederhake <BenWiederhake.GitHub@gmx.de>2016-07-09 19:48:14 +0200
committertgingold <tgingold@users.noreply.github.com>2016-07-09 19:48:14 +0200
commit6cfcd2e1baf314842714618adff16504a3f73789 (patch)
tree43dbd5963cafd1448f66a625ec292b73d876170f /testsuite
parentf218907306bb64565ceecae98b1515f979b96737 (diff)
downloadghdl-6cfcd2e1baf314842714618adff16504a3f73789.tar.gz
ghdl-6cfcd2e1baf314842714618adff16504a3f73789.tar.bz2
ghdl-6cfcd2e1baf314842714618adff16504a3f73789.zip
Clean up links, repository-wide (#117)
* https: ieee.org * https: readthedocs * https: technet.com * https: debian.org * https: w3.org * Fix or mark dead links
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/gna/testsuite.sh2
-rw-r--r--testsuite/gna/ticket89/x_ieee_proposed/src/README4
2 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/gna/testsuite.sh b/testsuite/gna/testsuite.sh
index 11431cd17..8fcd7059d 100755
--- a/testsuite/gna/testsuite.sh
+++ b/testsuite/gna/testsuite.sh
@@ -7,7 +7,7 @@
# bug0XX is for bugs not reported.
# bug[1-9]XXX is for bugs reported on https://gna.org/bugs/?group=ghdl
# srXXX is for support reported on https://gna.org/support/?group=ghdl
-# debXX is for bugs report on http://bugs.debian.org/
+# debXX is for bugs report on https://www.debian.org/Bugs/
# ticketXX is from https://sourceforge.net/p/ghdl-updates/tickets/
# issueXXX is from https://github.com/tgingold/ghdl/issues
diff --git a/testsuite/gna/ticket89/x_ieee_proposed/src/README b/testsuite/gna/ticket89/x_ieee_proposed/src/README
index 775ff3bf9..3fe8308c0 100644
--- a/testsuite/gna/ticket89/x_ieee_proposed/src/README
+++ b/testsuite/gna/ticket89/x_ieee_proposed/src/README
@@ -160,7 +160,7 @@ use ieee.numeric_std.all;
use ieee_proposed.math_utility_pkg.all;
use ieee_proposed.fixed_pkg.all;
See fixed point package documentation
-http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/Fixed_ug.pdf
+http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/Fixed_ug.pdf [DEAD LINK]
G) For floating point package:
use model:
@@ -170,4 +170,4 @@ use ieee_proposed.math_utility_pkg.all;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.float_pkg.all;
See floating point package documentation
-http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/Float_ug.pdf
+http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/Float_ug.pdf [DEAD LINK]