aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-10 07:45:21 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-10 07:45:21 +0100
commit6af4fb0c53fa0c57f14f07d54f8d32b58c4a1b2a (patch)
treea82a433d5e3dc17107d3d97e30879bf00ec1ad79 /testsuite
parent3641df142fff346e8acb4ed74cfab0a16fcbb9e3 (diff)
downloadghdl-6af4fb0c53fa0c57f14f07d54f8d32b58c4a1b2a.tar.gz
ghdl-6af4fb0c53fa0c57f14f07d54f8d32b58c4a1b2a.tar.bz2
ghdl-6af4fb0c53fa0c57f14f07d54f8d32b58c4a1b2a.zip
testsuite/synth: add a test for #1944
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1944/test.vhdl11
-rwxr-xr-xtestsuite/synth/issue1944/testsuite.sh8
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/issue1944/test.vhdl b/testsuite/synth/issue1944/test.vhdl
new file mode 100644
index 000000000..392ac438c
--- /dev/null
+++ b/testsuite/synth/issue1944/test.vhdl
@@ -0,0 +1,11 @@
+package gen_pkg is
+ generic (type T);
+end gen_pkg;
+
+entity test is
+end entity test;
+
+architecture simple of test is
+ package pkg is new work.gen_pkg generic map (t => integer);
+begin
+end architecture simple;
diff --git a/testsuite/synth/issue1944/testsuite.sh b/testsuite/synth/issue1944/testsuite.sh
new file mode 100755
index 000000000..1bd00c114
--- /dev/null
+++ b/testsuite/synth/issue1944/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only test
+
+echo "Test successful"