aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-25 12:24:12 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-25 13:27:50 +0200
commit5f3c9498dc9ad737b398109edf53b4c4a7344696 (patch)
treea4a1d0236c1396d4e76ce96104c64b2f1835f3e0 /testsuite
parentb69f9957ce04f6d796ef965f58fa3a1040b31f3b (diff)
downloadghdl-5f3c9498dc9ad737b398109edf53b4c4a7344696.tar.gz
ghdl-5f3c9498dc9ad737b398109edf53b4c4a7344696.tar.bz2
ghdl-5f3c9498dc9ad737b398109edf53b4c4a7344696.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0117/repro8.vhdl19
-rwxr-xr-xtestsuite/gna/bug0117/testsuite.sh18
2 files changed, 23 insertions, 14 deletions
diff --git a/testsuite/gna/bug0117/repro8.vhdl b/testsuite/gna/bug0117/repro8.vhdl
new file mode 100644
index 000000000..ec21f3efd
--- /dev/null
+++ b/testsuite/gna/bug0117/repro8.vhdl
@@ -0,0 +1,19 @@
+entity repro8 is
+end repro8;
+
+architecture behav of repro8 is
+ procedure assign (a, b : out bit_vector; val : bit_vector) is
+ begin
+ (a, b) := val;
+ end assign;
+begin
+ process
+ variable a: bit_vector(7 downto 0);
+ variable b: bit_vector(3 downto 0);
+ begin
+ assign (a, b, x"012");
+ assert a = x"01";
+ assert b = x"2";
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0117/testsuite.sh b/testsuite/gna/bug0117/testsuite.sh
index 9a2d8ff9f..0b9fde62d 100755
--- a/testsuite/gna/bug0117/testsuite.sh
+++ b/testsuite/gna/bug0117/testsuite.sh
@@ -3,20 +3,10 @@
. ../../testenv.sh
export GHDL_STD_FLAGS=--std=08
-analyze repro1.vhdl
-elab_simulate repro1
-
-analyze repro4.vhdl
-elab_simulate repro4
-
-analyze repro5.vhdl
-elab_simulate repro5
-
-analyze repro6.vhdl
-elab_simulate repro6
-
-analyze repro7.vhdl
-elab_simulate repro7
+for i in repro1 repro4 repro5 repro6 repro7 repro8; do
+ analyze $i.vhdl
+ elab_simulate $i
+done
clean