aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-12-23 16:14:24 +0100
committerTristan Gingold <tgingold@free.fr>2022-12-23 18:28:10 +0100
commit58fa04a4d96a304146ae7ebaf59da6cb10886105 (patch)
treea87d2354d94fdfd4a71a168399a2677b8f505335 /testsuite
parenta42177ad571f33622eb300e090152e49e84e19db (diff)
downloadghdl-58fa04a4d96a304146ae7ebaf59da6cb10886105.tar.gz
ghdl-58fa04a4d96a304146ae7ebaf59da6cb10886105.tar.bz2
ghdl-58fa04a4d96a304146ae7ebaf59da6cb10886105.zip
testsuite/gna/bug0130: reindentation
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0130/word_entity1.vhdl6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/gna/bug0130/word_entity1.vhdl b/testsuite/gna/bug0130/word_entity1.vhdl
index 0e6052f44..f22d8d39b 100644
--- a/testsuite/gna/bug0130/word_entity1.vhdl
+++ b/testsuite/gna/bug0130/word_entity1.vhdl
@@ -2,9 +2,9 @@
entity word_entity is
generic (
package word40 is new work.word_generic_pkg
- generic map (
- WIDTH => 40 -- not a generic in word_generic_pkg
- )
+ generic map (
+ WIDTH => 40 -- not a generic in word_generic_pkg
+ )
);
end entity;