aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-12 13:06:11 +0200
committerumarcor <unai.martinezcorral@ehu.eus>2021-08-23 16:35:35 +0200
commit357cb9746c53e3f32fc9c2f28686c25e388918c3 (patch)
tree2547b64fc43c58a62e1f01fb8dddbe80b5160993 /testsuite
parentbc09bbf10599436e1efdb0432886b2bb8b4bf890 (diff)
downloadghdl-357cb9746c53e3f32fc9c2f28686c25e388918c3.tar.gz
ghdl-357cb9746c53e3f32fc9c2f28686c25e388918c3.tar.bz2
ghdl-357cb9746c53e3f32fc9c2f28686c25e388918c3.zip
Preparations for sequential statements.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/Current.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index a63a3c75f..f97d831b9 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -94,6 +94,26 @@ begin
Q <= std_logic_vector(unsigned(Q) + 1);
end if;
end if;
+
+ for i in 7 downto 0 loop
+ loop
+ while true loop
+ next;
+ next when true;
+ end loop;
+ exit;
+ exit when true;
+ end loop;
+ return;
+ end loop;
+
+ case foo_bar is
+ when 0 =>
+ when 1 | 2 =>
+ when 3 to 4 =>
+ when 5 to 6 | 8 to 9 =>
+ when others =>
+ end case;
end process;
a <= b;