aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-04-02 18:17:30 +0200
committerTristan Gingold <tgingold@free.fr>2017-04-02 18:17:30 +0200
commit26d4381d0f167dbe14863b3261f9465a8c90412f (patch)
tree810c1b6d0ef1f38f7d6f5518e9e677b288ae8470 /testsuite
parent92973eef31c7573137bcf9195952d8f5023647dc (diff)
downloadghdl-26d4381d0f167dbe14863b3261f9465a8c90412f.tar.gz
ghdl-26d4381d0f167dbe14863b3261f9465a8c90412f.tar.bz2
ghdl-26d4381d0f167dbe14863b3261f9465a8c90412f.zip
Add more testcases for bug069
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug069/bug2.vhdl13
-rw-r--r--testsuite/gna/bug069/bug7.vhdl13
-rw-r--r--testsuite/gna/bug069/bug8.vhdl13
-rwxr-xr-xtestsuite/gna/bug069/testsuite.sh3
4 files changed, 42 insertions, 0 deletions
diff --git a/testsuite/gna/bug069/bug2.vhdl b/testsuite/gna/bug069/bug2.vhdl
new file mode 100644
index 000000000..1bb227089
--- /dev/null
+++ b/testsuite/gna/bug069/bug2.vhdl
@@ -0,0 +1,13 @@
+entity bug2 is
+end entity bug2;
+
+architecture x of bug2 is
+
+ type bit_position is (msb);
+ signal test : integer;
+
+begin
+
+ test <= msb;
+
+end architecture x;
diff --git a/testsuite/gna/bug069/bug7.vhdl b/testsuite/gna/bug069/bug7.vhdl
new file mode 100644
index 000000000..88d96f71a
--- /dev/null
+++ b/testsuite/gna/bug069/bug7.vhdl
@@ -0,0 +1,13 @@
+entity bug7 is
+end entity bug7;
+
+architecture x of bug7 is
+
+ constant cst : real := 5.5;
+ signal test : integer;
+
+begin
+
+ test <= cst;
+
+end architecture x;
diff --git a/testsuite/gna/bug069/bug8.vhdl b/testsuite/gna/bug069/bug8.vhdl
new file mode 100644
index 000000000..ab807e2e0
--- /dev/null
+++ b/testsuite/gna/bug069/bug8.vhdl
@@ -0,0 +1,13 @@
+entity bug8 is
+end entity bug8;
+
+architecture x of bug8 is
+
+ type bit_position is (msb);
+ signal test : real;
+
+begin
+
+ test <= msb;
+
+end architecture x;
diff --git a/testsuite/gna/bug069/testsuite.sh b/testsuite/gna/bug069/testsuite.sh
index 89f3fb363..04c83c33d 100755
--- a/testsuite/gna/bug069/testsuite.sh
+++ b/testsuite/gna/bug069/testsuite.sh
@@ -3,6 +3,9 @@
. ../../testenv.sh
analyze_failure bug1.vhdl
+analyze_failure bug2.vhdl
+analyze_failure bug7.vhdl
+analyze_failure bug8.vhdl
clean