aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-06 20:36:06 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-06 20:36:06 +0100
commit262195377f9fa9d63ad884c49c557d6ad004c5bc (patch)
treec120ff187a7986e1f73be8f11c08cb260cd4379d /testsuite
parente90e022474b15f4db7b3732ff9cb5110fb44562b (diff)
downloadghdl-262195377f9fa9d63ad884c49c557d6ad004c5bc.tar.gz
ghdl-262195377f9fa9d63ad884c49c557d6ad004c5bc.tar.bz2
ghdl-262195377f9fa9d63ad884c49c557d6ad004c5bc.zip
testsuite/gna: add a test for previous commit.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/bitstr.vhdl16
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/bitstr.vhdl b/testsuite/gna/bug0100/bitstr.vhdl
new file mode 100644
index 000000000..e256db159
--- /dev/null
+++ b/testsuite/gna/bug0100/bitstr.vhdl
@@ -0,0 +1,16 @@
+entity bitstr is
+ port (a : bit_vector (7 downto 0);
+ o : out bit);
+end bitstr;
+
+architecture behav of bitstr is
+begin
+ process (a)
+ begin
+ if a = bx"00" then
+ o <= '1';
+ else
+ o <= '0';
+ end if;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index b779aa7ea..6d49e90ba 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -12,6 +12,7 @@ analyze_failure --force-analysis noexpr.vhdl
analyze_failure --force-analysis str.vhdl
analyze_failure --force-analysis procinter.vhdl
analyze_failure --force-analysis emptyquote2.vhdl
+analyze_failure --force-analysis bitstr.vhdl
analyze_failure usrattr.vhdl
analyze_failure forloop.vhdl
analyze_failure emptyquote.vhdl