aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-09-10 20:31:13 +0200
committerTristan Gingold <tgingold@free.fr>2015-09-10 20:31:13 +0200
commit1308fb84af4929a3d35f9d21da88216b48bb9b89 (patch)
treeb4b4aba5c13e8fb474458a24f634bcf20cdec662 /testsuite
parenta67ae38eeedd5d0ca5a75b8174aed201e5c801ad (diff)
downloadghdl-1308fb84af4929a3d35f9d21da88216b48bb9b89.tar.gz
ghdl-1308fb84af4929a3d35f9d21da88216b48bb9b89.tar.bz2
ghdl-1308fb84af4929a3d35f9d21da88216b48bb9b89.zip
Add testcase for ticket54.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/ticket54/test.vhdl28
-rwxr-xr-xtestsuite/gna/ticket54/testsuite.sh9
2 files changed, 37 insertions, 0 deletions
diff --git a/testsuite/gna/ticket54/test.vhdl b/testsuite/gna/ticket54/test.vhdl
new file mode 100644
index 000000000..7254942b0
--- /dev/null
+++ b/testsuite/gna/ticket54/test.vhdl
@@ -0,0 +1,28 @@
+entity test is
+end entity;
+
+architecture a of test is
+ procedure check(value : boolean) is
+ begin
+ assert value;
+ end procedure;
+begin
+
+ main : process
+ procedure do_some_other_stuff is
+ begin
+ check(false);
+ end procedure;
+
+ procedure do_stuff is
+ begin
+ check(true);
+ do_some_other_stuff;
+ check(true);
+ end procedure;
+ begin
+ check(true);
+ do_stuff;
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket54/testsuite.sh b/testsuite/gna/ticket54/testsuite.sh
new file mode 100755
index 000000000..c2af839e8
--- /dev/null
+++ b/testsuite/gna/ticket54/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze test.vhdl
+elab_simulate test
+clean
+
+echo "Test successful"