aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-08 08:50:27 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-08 08:50:27 +0200
commit0cc48d1d815d4ac999aff6ef0af3d5091a25f41d (patch)
tree35a1926d0b7bea6a382dcd84cb03e419a9947fc0 /testsuite
parent42e8cd6e4a5ae3ab063dba5b010819c9c18d9d6a (diff)
downloadghdl-0cc48d1d815d4ac999aff6ef0af3d5091a25f41d.tar.gz
ghdl-0cc48d1d815d4ac999aff6ef0af3d5091a25f41d.tar.bz2
ghdl-0cc48d1d815d4ac999aff6ef0af3d5091a25f41d.zip
testsuite/gna: add a test for #1412
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1412/repro.vhdl17
-rwxr-xr-xtestsuite/gna/issue1412/testsuite.sh7
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue1412/repro.vhdl b/testsuite/gna/issue1412/repro.vhdl
new file mode 100644
index 000000000..92e770622
--- /dev/null
+++ b/testsuite/gna/issue1412/repro.vhdl
@@ -0,0 +1,17 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ signal s : bit_vector(7 downto 0);
+begin
+ process (s)
+ begin
+ for i in s'range loop
+ if s (i)'event then
+ report "event for s bit " & natural'image (i);
+ end if;
+ end loop;
+ end process;
+
+ s <= x"42" after 1 ns, x"82" after 2 ns;
+end behav;
diff --git a/testsuite/gna/issue1412/testsuite.sh b/testsuite/gna/issue1412/testsuite.sh
new file mode 100755
index 000000000..7986ee128
--- /dev/null
+++ b/testsuite/gna/issue1412/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure repro.vhdl
+
+echo "Test successful"