aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional
diff options
context:
space:
mode:
authorBrian Drummond <brian@shapes.demon.co.uk>2013-12-24 16:54:03 +0000
committerBrian Drummond <brian@shapes.demon.co.uk>2013-12-24 16:54:03 +0000
commit663b942b97aa629489eb06b53ecb6682e9f5fbac (patch)
tree34286e5d5b448b49c50497f1406b43c7b1bf0cf7 /testsuite/vests/vhdl-93/clifton-labs/compliant/functional
parent0a96f62124b33a501dafa2da71dc890aad386491 (diff)
downloadghdl-663b942b97aa629489eb06b53ecb6682e9f5fbac.tar.gz
ghdl-663b942b97aa629489eb06b53ecb6682e9f5fbac.tar.bz2
ghdl-663b942b97aa629489eb06b53ecb6682e9f5fbac.zip
Testsuite changes to elaborate and run tests marked run_compliant_test
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/signal/simple-event-attribute.vhdl3
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl1
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/block-statements/simple-grouping-block.vhdl2
3 files changed, 4 insertions, 2 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/signal/simple-event-attribute.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/signal/simple-event-attribute.vhdl
index e3c5d30cb..e37fe34a1 100644
--- a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/signal/simple-event-attribute.vhdl
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/signal/simple-event-attribute.vhdl
@@ -13,7 +13,8 @@ begin
-- s <= '1';
-- wait for 0 ns;
assert s = '0' report "TEST FAILED - s has not changed to 0 yet!" severity failure;
- wait for 10 ns;
+ --wait for 10 ns;
+ wait for 4 ns;
assert s = '1' report "TEST FAILED - s has not changed to 1 yet!" severity failure;
assert (s'event) report "TEST FAILED - 'event not tripped" severity failure;
report "TEST PASSED";
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl
index 2257c1204..d77743afc 100644
--- a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/generics/entity-generic-defines-port-type.vhdl
@@ -35,6 +35,7 @@ begin -- only
gdpt1_finished <= true;
wait for 1 fs;
report "TEST PASSED";
+ wait;
end process doit;
end only;
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/block-statements/simple-grouping-block.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/block-statements/simple-grouping-block.vhdl
index c10bd2211..0223d6d94 100644
--- a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/block-statements/simple-grouping-block.vhdl
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/block-statements/simple-grouping-block.vhdl
@@ -20,7 +20,7 @@ begin -- only
begin
if delay_line_out = '1' then
assert now = 1 ns report "TEST FAILED - delay did not happen as expected!" severity FAILURE;
- assert not(now = 1 ns) report "TEST PASSED" severity FAILURE;
+ assert not(now = 1 ns) report "TEST PASSED" severity WARNING;
end if;
end process;
end only;