aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-20 04:48:54 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-20 04:48:54 +0100
commit6c3f709174e8e4d5411f851cedb7d84c38d3b04a (patch)
treebd12c79c71a2ee65899a9ade9919ec2045addef8 /testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd
parentbd4aff0f670351c0652cf24e9b04361dc0e3a01c (diff)
downloadghdl-6c3f709174e8e4d5411f851cedb7d84c38d3b04a.tar.gz
ghdl-6c3f709174e8e4d5411f851cedb7d84c38d3b04a.tar.bz2
ghdl-6c3f709174e8e4d5411f851cedb7d84c38d3b04a.zip
Import vests testsuite
Diffstat (limited to 'testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd')
-rw-r--r--testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd78
1 files changed, 78 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd b/testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd
new file mode 100644
index 000000000..8a4bb23c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/disputed/tc3135.vhd
@@ -0,0 +1,78 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3135.vhd,v 1.2 2001-10-26 16:30:04 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s02b01x02p12n01i03135ent_a IS
+ generic ( g1 : integer := 0 );
+END c05s02b01x02p12n01i03135ent_a;
+
+ARCHITECTURE c05s02b01x02p12n01i03135arch_a OF c05s02b01x02p12n01i03135ent_a IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert g1 /= 0 report "g1 = 0 " severity FAILURE;
+ assert g1 /= 1 report "g1 = 1 " severity FAILURE;
+ assert g1 = -1 report "g1 /= -1 " severity FAILURE;
+ assert NOT( g1 /= 0 and
+ g1 /= 1 and
+ g1 = -1 )
+ report "***PASSED TEST: c05s02b01x02p12n01i03135"
+ severity NOTE;
+ assert ( g1 /= 0 and
+ g1 /= 1 and
+ g1 = -1 )
+ report "***FAILED TEST: c05s02b01x02p12n01i03135 - An actual associated with a formal generic in a generic map aspect be an expression test failed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+END c05s02b01x02p12n01i03135arch_a;
+
+
+
+
+ENTITY c05s02b01x02p12n01i03135ent IS
+ generic ( test_g : integer := -1 );
+END c05s02b01x02p12n01i03135ent;
+
+ARCHITECTURE c05s02b01x02p12n01i03135arch OF c05s02b01x02p12n01i03135ent IS
+ component ic_socket
+ generic ( local_g1 : integer := 1 );
+ end component;
+ for instance : ic_socket use entity work.c05s02b01x02p12n01i03135ent_a (c05s02b01x02p12n01i03135arch_a)
+ generic map (test_g);
+BEGIN
+ instance : ic_socket;
+END c05s02b01x02p12n01i03135arch;
+
+
+configuration c05s02b01x02p12n01i03135cfg of c05s02b01x02p12n01i03135ent is
+ for c05s02b01x02p12n01i03135arch
+ end for;
+end c05s02b01x02p12n01i03135cfg;