aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-30 20:58:35 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-30 20:58:35 +0200
commit88d594c84f6b07f312f9fa0a2c2403b81d9ca35d (patch)
treefd8b7b22f145c1d5660f1123cd9579451e1b4256 /testsuite/synth
parent9aeab4488aef1a576d648ce2a14d3bc3a893f1e6 (diff)
downloadghdl-88d594c84f6b07f312f9fa0a2c2403b81d9ca35d.tar.gz
ghdl-88d594c84f6b07f312f9fa0a2c2403b81d9ca35d.tar.bz2
ghdl-88d594c84f6b07f312f9fa0a2c2403b81d9ca35d.zip
testsuite/synth: add testcase for #953
Diffstat (limited to 'testsuite/synth')
-rw-r--r--testsuite/synth/issue953/ent.vhdl13
-rwxr-xr-xtestsuite/synth/issue953/testsuite.sh11
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue953/ent.vhdl b/testsuite/synth/issue953/ent.vhdl
new file mode 100644
index 000000000..72c7988b2
--- /dev/null
+++ b/testsuite/synth/issue953/ent.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all,
+ ieee.numeric_std.all;
+
+entity ent is
+end;
+
+architecture a of ent is
+ signal x : unsigned(7 downto 0);
+ signal y : unsigned(7 downto 0) := x / 2;
+ signal z : unsigned(15 downto 0) := x * 2;
+begin
+end;
diff --git a/testsuite/synth/issue953/testsuite.sh b/testsuite/synth/issue953/testsuite.sh
new file mode 100755
index 000000000..54e687d28
--- /dev/null
+++ b/testsuite/synth/issue953/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+# analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"