aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-02-17 07:50:31 +0100
committerTristan Gingold <tgingold@free.fr>2022-02-17 07:51:02 +0100
commit5b80233e0715b352df14c2833e400bff429bdbdf (patch)
tree8d96ad923481a5794ed44c33b3777e4c15fb59da /testsuite/synth
parent7257b70ca6a7380a3c739e62bf3c9ca69f0dd223 (diff)
downloadghdl-5b80233e0715b352df14c2833e400bff429bdbdf.tar.gz
ghdl-5b80233e0715b352df14c2833e400bff429bdbdf.tar.bz2
ghdl-5b80233e0715b352df14c2833e400bff429bdbdf.zip
testsuite/synth: add a test for #1972
Diffstat (limited to 'testsuite/synth')
-rw-r--r--testsuite/synth/issue1972/ent.vhdl12
-rwxr-xr-xtestsuite/synth/issue1972/testsuite.sh7
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/issue1972/ent.vhdl b/testsuite/synth/issue1972/ent.vhdl
new file mode 100644
index 000000000..502f47785
--- /dev/null
+++ b/testsuite/synth/issue1972/ent.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (output : out std_ulogic);
+end entity;
+
+architecture rtl of ent is
+ signal sr : std_ulogic_vector(0 downto 1);
+begin
+ output <= sr(1);
+end architecture;
diff --git a/testsuite/synth/issue1972/testsuite.sh b/testsuite/synth/issue1972/testsuite.sh
new file mode 100755
index 000000000..f6f8ea08f
--- /dev/null
+++ b/testsuite/synth/issue1972/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_failure ent.vhdl -e
+
+echo "Test successful"