diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-02-05 20:16:01 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-02-05 20:16:01 +0100 |
commit | 3b2f4b80a6bd50a8fc190040dd415b2006548bdb (patch) | |
tree | ffb88076070817c4a731ee5f0e72df560b311a7b /testsuite/synth | |
parent | 0140dddc253f8b755d3080885ae322b87606ae61 (diff) | |
download | ghdl-3b2f4b80a6bd50a8fc190040dd415b2006548bdb.tar.gz ghdl-3b2f4b80a6bd50a8fc190040dd415b2006548bdb.tar.bz2 ghdl-3b2f4b80a6bd50a8fc190040dd415b2006548bdb.zip |
testsuite/synth: add a test for #1107
Diffstat (limited to 'testsuite/synth')
-rwxr-xr-x | testsuite/synth/issue1107/testsuite.sh | 11 | ||||
-rw-r--r-- | testsuite/synth/issue1107/unconnected.vhdl | 15 |
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/issue1107/testsuite.sh b/testsuite/synth/issue1107/testsuite.sh new file mode 100755 index 000000000..94bce8c29 --- /dev/null +++ b/testsuite/synth/issue1107/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +for t in unconnected; do + synth $t.vhdl -e $t > syn_$t.vhdl + analyze syn_$t.vhdl + clean +done + +echo "Test successful" diff --git a/testsuite/synth/issue1107/unconnected.vhdl b/testsuite/synth/issue1107/unconnected.vhdl new file mode 100644 index 000000000..0c7886a24 --- /dev/null +++ b/testsuite/synth/issue1107/unconnected.vhdl @@ -0,0 +1,15 @@ +library ieee; + +use ieee.std_logic_1164.all; + +entity unconnected is + port ( + output: out std_logic + ); +end entity; + +architecture arch of unconnected is + signal no_value: std_logic; +begin + output <= no_value; +end; |