aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-03 20:41:44 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-03 20:41:44 +0200
commit02dc94f5508e0ce94bb1d3afdca602b0f0dba9f9 (patch)
tree7bb20272f929e20dfda7c78e0e8cbb20c3cc1842 /testsuite/synth
parent8dee86c299dce882d5ee670f851915d6717dd334 (diff)
downloadghdl-02dc94f5508e0ce94bb1d3afdca602b0f0dba9f9.tar.gz
ghdl-02dc94f5508e0ce94bb1d3afdca602b0f0dba9f9.tar.bz2
ghdl-02dc94f5508e0ce94bb1d3afdca602b0f0dba9f9.zip
testsuite/synth: add testcase for previous commit.
Diffstat (limited to 'testsuite/synth')
-rw-r--r--testsuite/synth/synth50/slv_negation.vhdl14
-rwxr-xr-xtestsuite/synth/synth50/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/synth50/slv_negation.vhdl b/testsuite/synth/synth50/slv_negation.vhdl
new file mode 100644
index 000000000..5161f9639
--- /dev/null
+++ b/testsuite/synth/synth50/slv_negation.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity slv_negation is
+ port (
+ a : in std_logic_vector(7 downto 0);
+ b : out std_logic_vector(7 downto 0)
+ );
+end slv_negation;
+
+architecture rtl of slv_negation is
+begin
+ b <= not a(7 downto 0);
+end rtl;
diff --git a/testsuite/synth/synth50/testsuite.sh b/testsuite/synth/synth50/testsuite.sh
new file mode 100755
index 000000000..18dbb5742
--- /dev/null
+++ b/testsuite/synth/synth50/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in slv_negation; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+done
+
+echo "Test successful"