aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth48/test.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-02 03:35:46 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-02 03:35:46 +0200
commit7ba58651202e21fc17dd5d669625a2056bcb83ce (patch)
tree8d39dd501db55d447610f5f3d25676242c40f494 /testsuite/synth/synth48/test.vhdl
parentf524db3f254a1acf13edd7a3bac876f0a1277bb1 (diff)
downloadghdl-7ba58651202e21fc17dd5d669625a2056bcb83ce.tar.gz
ghdl-7ba58651202e21fc17dd5d669625a2056bcb83ce.tar.bz2
ghdl-7ba58651202e21fc17dd5d669625a2056bcb83ce.zip
testsuite/synth: add synth48
Diffstat (limited to 'testsuite/synth/synth48/test.vhdl')
-rw-r--r--testsuite/synth/synth48/test.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/synth/synth48/test.vhdl b/testsuite/synth/synth48/test.vhdl
new file mode 100644
index 000000000..d04d6673f
--- /dev/null
+++ b/testsuite/synth/synth48/test.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+
+entity test is
+ port (
+ Reset_n_i : in std_logic;
+ Clk_i : in std_logic
+ );
+end entity test;
+
+
+architecture beh of test is
+begin
+
+ default clock is rising_edge(Clk_i);
+ restrict {Reset_n_i = '0'[*5]; Reset_n_i = '1'[+]}[*1];
+
+end architecture beh;
+