diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-08-07 21:54:09 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-08-07 21:55:53 +0200 |
commit | a18e1503a9896152268705aab21a6ee491756ff7 (patch) | |
tree | 7fd8a8fe8ca3cfca8687c6c2fe26e2224c712cf1 /testsuite/synth/snsuns01/ucmpne.vhdl | |
parent | b46d4db8b112d40b056c102d65a64d08a00f4668 (diff) | |
download | ghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.gz ghdl-a18e1503a9896152268705aab21a6ee491756ff7.tar.bz2 ghdl-a18e1503a9896152268705aab21a6ee491756ff7.zip |
testsuite/synth: add tests for std_logic_unsigned and std_logic_signed.
Diffstat (limited to 'testsuite/synth/snsuns01/ucmpne.vhdl')
-rw-r--r-- | testsuite/synth/snsuns01/ucmpne.vhdl | 24 |
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/snsuns01/ucmpne.vhdl b/testsuite/synth/snsuns01/ucmpne.vhdl new file mode 100644 index 000000000..346b86b6b --- /dev/null +++ b/testsuite/synth/snsuns01/ucmpne.vhdl @@ -0,0 +1,24 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity cmpne is + port ( + li : integer; + ri : integer; + l4 : std_logic_vector (3 downto 0); + r3 : std_logic_vector (2 downto 0); + + ne_v4v3 : out boolean; + ne_v4i : out boolean; + ne_iv3 : out boolean); +end cmpne; + +library ieee; +use ieee.std_logic_unsigned.all; + +architecture behav of cmpne is +begin + ne_v4v3 <= l4 /= r3; + ne_v4i <= l4 /= ri; + ne_iv3 <= li /= r3; +end behav; |