diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-02-18 18:44:42 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-02-18 18:45:07 +0100 |
commit | 393612fc52586d8eb8372f0ce3f05c162cfccfe2 (patch) | |
tree | 9a3f06bd59ba01c80b8012b1e3e52e7a4aa516d9 /testsuite/synth/ram01/tb_sram01.vhdl | |
parent | 3689e0eb1d8b4a9689afa6f76187f1ecdc5ec458 (diff) | |
download | ghdl-393612fc52586d8eb8372f0ce3f05c162cfccfe2.tar.gz ghdl-393612fc52586d8eb8372f0ce3f05c162cfccfe2.tar.bz2 ghdl-393612fc52586d8eb8372f0ce3f05c162cfccfe2.zip |
testsuite/synth: merge ram01 to mem01, add NOTES.txt
Diffstat (limited to 'testsuite/synth/ram01/tb_sram01.vhdl')
-rw-r--r-- | testsuite/synth/ram01/tb_sram01.vhdl | 43 |
1 files changed, 0 insertions, 43 deletions
diff --git a/testsuite/synth/ram01/tb_sram01.vhdl b/testsuite/synth/ram01/tb_sram01.vhdl deleted file mode 100644 index 6fa0a7106..000000000 --- a/testsuite/synth/ram01/tb_sram01.vhdl +++ /dev/null @@ -1,43 +0,0 @@ -entity tb_sram01 is -end tb_sram01; - -library ieee; -use ieee.std_logic_1164.all; - -architecture behav of tb_sram01 is - signal addr : std_logic_vector(3 downto 0); - signal rdat : std_logic_vector(7 downto 0); - signal wdat : std_logic_vector(7 downto 0); - signal wen : std_logic; - signal clk : std_logic; -begin - dut: entity work.sram01 - port map (clk_i => clk, addr_i => addr, data_i => wdat, data_o => rdat, - wen_i => wen); - - process - procedure pulse is - begin - clk <= '0'; - wait for 1 ns; - clk <= '1'; - wait for 1 ns; - end pulse; - begin - addr <= "0000"; - wdat <= x"01"; - wen <= '1'; - pulse; - - addr <= "0001"; - wdat <= x"02"; - pulse; - - addr <= "0000"; - wen <= '0'; - pulse; - assert rdat = x"01" severity failure; - - wait; - end process; -end behav; |