diff options
author | T. Meissner <programming@goodcleanfun.de> | 2019-09-19 06:30:22 +0200 |
---|---|---|
committer | tgingold <tgingold@users.noreply.github.com> | 2019-09-19 06:30:22 +0200 |
commit | acac3f18888c0989ae4d7d8a4fb20a90edc2a38c (patch) | |
tree | e0d9dc9f6186f0002c8c7b4ac6cea6980577bf9a /testsuite/synth/psl01/cover2.vhdl | |
parent | 4a04f914b836c21a6d036f72846f8698d907cf43 (diff) | |
download | ghdl-acac3f18888c0989ae4d7d8a4fb20a90edc2a38c.tar.gz ghdl-acac3f18888c0989ae4d7d8a4fb20a90edc2a38c.tar.bz2 ghdl-acac3f18888c0989ae4d7d8a4fb20a90edc2a38c.zip |
synth: Add support for PSL cover directive (#930)
* synth: Add support for PSL cover directive
* testsuite/synth: Add tests for PSL cover directives
Diffstat (limited to 'testsuite/synth/psl01/cover2.vhdl')
-rw-r--r-- | testsuite/synth/psl01/cover2.vhdl | 27 |
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/synth/psl01/cover2.vhdl b/testsuite/synth/psl01/cover2.vhdl new file mode 100644 index 000000000..d04757694 --- /dev/null +++ b/testsuite/synth/psl01/cover2.vhdl @@ -0,0 +1,27 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity cover2 is + port (clk, rst: std_logic; + cnt : out unsigned(3 downto 0)); +end cover2; + +architecture behav of cover2 is + signal val : unsigned (3 downto 0); + default clock is rising_edge(clk); +begin + process(clk) + begin + if rising_edge(clk) then + if rst = '1' then + val <= (others => '0'); + else + val <= val + 1; + end if; + end if; + end process; + cnt <= val; + + cover {val = 10}; +end behav; |