aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue954
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-30 20:12:22 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-30 20:12:22 +0200
commit8f72876faee71c9e0d2b07f4c2f6353f85481b98 (patch)
treef55b557573b96436da3bb5a7f874d572a2671cf0 /testsuite/synth/issue954
parent5d3046e8145663bbe3fc8651d12ff0f5eb2fc643 (diff)
downloadghdl-8f72876faee71c9e0d2b07f4c2f6353f85481b98.tar.gz
ghdl-8f72876faee71c9e0d2b07f4c2f6353f85481b98.tar.bz2
ghdl-8f72876faee71c9e0d2b07f4c2f6353f85481b98.zip
testsuite/synth: add testcase for #954
Diffstat (limited to 'testsuite/synth/issue954')
-rw-r--r--testsuite/synth/issue954/ent.vhdl10
-rw-r--r--testsuite/synth/issue954/ent1.vhdl12
-rw-r--r--testsuite/synth/issue954/ent2.vhdl13
-rwxr-xr-xtestsuite/synth/issue954/testsuite.sh11
4 files changed, 46 insertions, 0 deletions
diff --git a/testsuite/synth/issue954/ent.vhdl b/testsuite/synth/issue954/ent.vhdl
new file mode 100644
index 000000000..333dfc568
--- /dev/null
+++ b/testsuite/synth/issue954/ent.vhdl
@@ -0,0 +1,10 @@
+entity ent is
+ port (
+ i : in bit
+ );
+end ent;
+
+architecture a of ent is
+begin
+end;
+
diff --git a/testsuite/synth/issue954/ent1.vhdl b/testsuite/synth/issue954/ent1.vhdl
new file mode 100644
index 000000000..d616b7653
--- /dev/null
+++ b/testsuite/synth/issue954/ent1.vhdl
@@ -0,0 +1,12 @@
+entity ent is
+ port (
+ i : in bit;
+ o : out bit
+ );
+end ent;
+
+architecture a of ent is
+begin
+ o <= i;
+end;
+
diff --git a/testsuite/synth/issue954/ent2.vhdl b/testsuite/synth/issue954/ent2.vhdl
new file mode 100644
index 000000000..503a41e6e
--- /dev/null
+++ b/testsuite/synth/issue954/ent2.vhdl
@@ -0,0 +1,13 @@
+entity ent is
+ port (
+ i : in bit;
+ i2 : bit;
+ o : out bit
+ );
+end ent;
+
+architecture a of ent is
+begin
+ o <= i or i2;
+end;
+
diff --git a/testsuite/synth/issue954/testsuite.sh b/testsuite/synth/issue954/testsuite.sh
new file mode 100755
index 000000000..54e687d28
--- /dev/null
+++ b/testsuite/synth/issue954/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+# analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"