aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue953
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-03 18:45:43 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-03 18:45:43 +0200
commitc337a3dc2e3b5d635f957bbd11a42ab69d9a0aaf (patch)
tree501713920b620d1311e944bb212e49edda55d1db /testsuite/synth/issue953
parentf392095b4ba018a4b3f0ef730610875c0681d233 (diff)
downloadghdl-c337a3dc2e3b5d635f957bbd11a42ab69d9a0aaf.tar.gz
ghdl-c337a3dc2e3b5d635f957bbd11a42ab69d9a0aaf.tar.bz2
ghdl-c337a3dc2e3b5d635f957bbd11a42ab69d9a0aaf.zip
testsuite/synth: add a testcase for previous commit.
Diffstat (limited to 'testsuite/synth/issue953')
-rw-r--r--testsuite/synth/issue953/ent2.vhdl8
-rwxr-xr-xtestsuite/synth/issue953/testsuite.sh2
2 files changed, 9 insertions, 1 deletions
diff --git a/testsuite/synth/issue953/ent2.vhdl b/testsuite/synth/issue953/ent2.vhdl
new file mode 100644
index 000000000..3c7993b1f
--- /dev/null
+++ b/testsuite/synth/issue953/ent2.vhdl
@@ -0,0 +1,8 @@
+entity ent2 is
+end;
+
+architecture a of ent2 is
+ signal x : integer;
+ signal y : integer := x / 2;
+begin
+end;
diff --git a/testsuite/synth/issue953/testsuite.sh b/testsuite/synth/issue953/testsuite.sh
index 54e687d28..a4049bbcb 100755
--- a/testsuite/synth/issue953/testsuite.sh
+++ b/testsuite/synth/issue953/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-for f in ent; do
+for f in ent ent2; do
synth $f.vhdl -e $f > syn_$f.vhdl
# analyze syn_$f.vhdl
done