diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-10-01 20:03:23 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-10-01 20:03:23 +0200 |
commit | 26230727356dfe9533b28166ace0f32c478600fb (patch) | |
tree | b8b21260076fa4c85e906eda11d4f4064e73c413 /testsuite/synth/issue945 | |
parent | 808bc3fc0865eb9174f9ad35fe2f96de953c2522 (diff) | |
download | ghdl-26230727356dfe9533b28166ace0f32c478600fb.tar.gz ghdl-26230727356dfe9533b28166ace0f32c478600fb.tar.bz2 ghdl-26230727356dfe9533b28166ace0f32c478600fb.zip |
testsuite/synth: add testcase for previous commit
Diffstat (limited to 'testsuite/synth/issue945')
-rw-r--r-- | testsuite/synth/issue945/syn_ent.vhdl | 13 |
1 files changed, 0 insertions, 13 deletions
diff --git a/testsuite/synth/issue945/syn_ent.vhdl b/testsuite/synth/issue945/syn_ent.vhdl deleted file mode 100644 index 3477d2338..000000000 --- a/testsuite/synth/issue945/syn_ent.vhdl +++ /dev/null @@ -1,13 +0,0 @@ -entity ent is -end ent; - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -architecture rtl of ent is - signal test : std_logic; -begin - -- ent.vhdl:5:12 - test <= <unassigned>; -- (signal) -end rtl; |