aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/tb_psl_onehot.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-02-09 21:02:22 +0100
committerTristan Gingold <tgingold@free.fr>2021-02-09 21:06:23 +0100
commit7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7 (patch)
tree2e3dacae240689d193a4dcee981a4581ebe5d691 /testsuite/synth/issue662/tb_psl_onehot.vhdl
parentd6b15882f1e023e3d68f424e76215c981ab0304b (diff)
downloadghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.tar.gz
ghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.tar.bz2
ghdl-7a8a3743fe7e29a44ccf9068c0af7cfc68869aa7.zip
testsuite/synth: adjust tests for issue#662
Diffstat (limited to 'testsuite/synth/issue662/tb_psl_onehot.vhdl')
-rw-r--r--testsuite/synth/issue662/tb_psl_onehot.vhdl5
1 files changed, 1 insertions, 4 deletions
diff --git a/testsuite/synth/issue662/tb_psl_onehot.vhdl b/testsuite/synth/issue662/tb_psl_onehot.vhdl
index 10b5d8c73..0bf60bf5d 100644
--- a/testsuite/synth/issue662/tb_psl_onehot.vhdl
+++ b/testsuite/synth/issue662/tb_psl_onehot.vhdl
@@ -51,12 +51,11 @@ architecture psl of tb_psl_onehot is
end hseq;
signal a, b : std_logic_vector(3 downto 0) := x"0";
- signal c : natural range 0 to 15 := 0;
signal clk : std_logic := '1';
begin
- dut: entity work.psl_onehot port map (clk, a, b, c);
+ dut: entity work.psl_onehot port map (clk, a, b);
clk <= not clk after 500 ps;
@@ -64,6 +63,4 @@ begin
SEQ_A : hseq ("111222444888888", clk, a);
SEQ_B : hseq ("111222444888999", clk, b);
- c <= to_integer(unsigned(b));
-
end architecture psl;