diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-08-06 07:54:48 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-08-06 07:54:48 +0200 |
commit | 1abff57032357331bc5634419adf2f8f2aa2dd07 (patch) | |
tree | 7e8f740a2d9c31269ba9494df19879338c140e30 /testsuite/synth/issue1421/repro3.vhdl | |
parent | 959f80c052665d07a28e1b1b20e89d6e12d0ced4 (diff) | |
download | ghdl-1abff57032357331bc5634419adf2f8f2aa2dd07.tar.gz ghdl-1abff57032357331bc5634419adf2f8f2aa2dd07.tar.bz2 ghdl-1abff57032357331bc5634419adf2f8f2aa2dd07.zip |
testsuite/synth: add test for #1421
Diffstat (limited to 'testsuite/synth/issue1421/repro3.vhdl')
-rw-r--r-- | testsuite/synth/issue1421/repro3.vhdl | 16 |
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1421/repro3.vhdl b/testsuite/synth/issue1421/repro3.vhdl new file mode 100644 index 000000000..4fa0f4738 --- /dev/null +++ b/testsuite/synth/issue1421/repro3.vhdl @@ -0,0 +1,16 @@ +entity repro3 is + port (clk : bit; + v : bit_vector (1 downto 0); + res : out bit_vector(1 downto 0)); +end; + +architecture behav of repro3 is +begin + process (clk) + begin + if clk'event and clk = '1' then + res <= v; + end if; + res (0) <= '0'; + end process; +end behav; |