aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1324/pkg.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-19 07:36:07 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-19 07:36:07 +0200
commit42cbe9183ac8f2138ef827180baae4beaee2d3f1 (patch)
tree0f41b8bb176b00a7eaa97f8df2ed8b36e0917e19 /testsuite/synth/issue1324/pkg.vhdl
parent5a1d83434d099fa39cb5e47a5679ed2fd89a3e74 (diff)
downloadghdl-42cbe9183ac8f2138ef827180baae4beaee2d3f1.tar.gz
ghdl-42cbe9183ac8f2138ef827180baae4beaee2d3f1.tar.bz2
ghdl-42cbe9183ac8f2138ef827180baae4beaee2d3f1.zip
testsuite/synth: add a test for #1324
Diffstat (limited to 'testsuite/synth/issue1324/pkg.vhdl')
-rw-r--r--testsuite/synth/issue1324/pkg.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1324/pkg.vhdl b/testsuite/synth/issue1324/pkg.vhdl
new file mode 100644
index 000000000..956882663
--- /dev/null
+++ b/testsuite/synth/issue1324/pkg.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+package pkg is
+ type bus_t is record
+ data : std_logic_vector;
+ valid : std_logic;
+ end record;
+
+end package pkg;