aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1239/repro2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-19 11:54:42 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-19 11:54:42 +0200
commit8fb42ce39ad5392557b281fa3d607bb7c6d79c5e (patch)
treee2752b7675d89a823a05fc2b652a6bfbc373bf7c /testsuite/synth/issue1239/repro2.vhdl
parent7a2c53cd09def758fa05f7db2d696fe73d05f543 (diff)
downloadghdl-8fb42ce39ad5392557b281fa3d607bb7c6d79c5e.tar.gz
ghdl-8fb42ce39ad5392557b281fa3d607bb7c6d79c5e.tar.bz2
ghdl-8fb42ce39ad5392557b281fa3d607bb7c6d79c5e.zip
testsuite/synth: add tests for #1239
Diffstat (limited to 'testsuite/synth/issue1239/repro2.vhdl')
-rw-r--r--testsuite/synth/issue1239/repro2.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/synth/issue1239/repro2.vhdl b/testsuite/synth/issue1239/repro2.vhdl
new file mode 100644
index 000000000..53e77ea9a
--- /dev/null
+++ b/testsuite/synth/issue1239/repro2.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro2 is
+ generic (
+ constant DIN_WIDTH : positive := 8;
+ constant F_SIZE : positive := 2
+ );
+end repro2;
+
+architecture Behav of repro2 is
+ type SLIDING_WINDOW is array (0 to F_SIZE-1, 0 to F_SIZE-1)
+ of STD_LOGIC_VECTOR(DIN_WIDTH- 1 downto 0);
+ signal WINDOW: SLIDING_WINDOW;
+begin
+ WINDOW <=(WINDOW 'range=> (WINDOW 'range=> (WINDOW 'range=>'0')));
+end Behav;
+