aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1193/counters_7.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-06 18:19:56 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-06 20:10:57 +0200
commit4ebca1802bc4e81d6c4bfe0b734a9f5828dacb1f (patch)
tree8e1770ed77ee599731ea3003a8adf9b5ac204c3f /testsuite/synth/issue1193/counters_7.vhdl
parentc358a4e9b8887e43faad8bcf3ee5860cb4929c6c (diff)
downloadghdl-4ebca1802bc4e81d6c4bfe0b734a9f5828dacb1f.tar.gz
ghdl-4ebca1802bc4e81d6c4bfe0b734a9f5828dacb1f.tar.bz2
ghdl-4ebca1802bc4e81d6c4bfe0b734a9f5828dacb1f.zip
testsuite/synth: add a test for #1193
Diffstat (limited to 'testsuite/synth/issue1193/counters_7.vhdl')
-rw-r--r--testsuite/synth/issue1193/counters_7.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue1193/counters_7.vhdl b/testsuite/synth/issue1193/counters_7.vhdl
new file mode 100644
index 000000000..dc231e19b
--- /dev/null
+++ b/testsuite/synth/issue1193/counters_7.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_signed.all;
+
+entity counters_7 is
+ port(C, CLR : in std_logic;
+ Q : out std_logic_vector(3 downto 0));
+end counters_7;
+
+architecture archi of counters_7 is
+ signal tmp: std_logic_vector(3 downto 0);
+begin
+ process (C, CLR)
+ begin
+ if (CLR='1') then
+ tmp <= "0000";
+ elsif (C'event and C='1') then
+ tmp <= tmp + 1;
+ end if;
+ end process;
+
+ Q <= tmp;
+
+end archi;