aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1177
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-30 07:03:35 +0200
committerTristan Gingold <tgingold@free.fr>2020-03-30 07:03:35 +0200
commitcb4c462d9868b8fb93ef8cc79aa5a016a923ace7 (patch)
tree89425f9471d6c8a76201b7ac0802edaca7e251a1 /testsuite/synth/issue1177
parent2490d35c8fe822e0929b5c2f82d8fa3517d28819 (diff)
downloadghdl-cb4c462d9868b8fb93ef8cc79aa5a016a923ace7.tar.gz
ghdl-cb4c462d9868b8fb93ef8cc79aa5a016a923ace7.tar.bz2
ghdl-cb4c462d9868b8fb93ef8cc79aa5a016a923ace7.zip
testsuite/synth: add tests for #1177
Diffstat (limited to 'testsuite/synth/issue1177')
-rw-r--r--testsuite/synth/issue1177/issue1.vhdl15
-rw-r--r--testsuite/synth/issue1177/issue2.vhdl15
-rwxr-xr-xtestsuite/synth/issue1177/testsuite.sh10
3 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/synth/issue1177/issue1.vhdl b/testsuite/synth/issue1177/issue1.vhdl
new file mode 100644
index 000000000..5d18a0f57
--- /dev/null
+++ b/testsuite/synth/issue1177/issue1.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue1 is
+ port (foo : in std_logic;
+ bar : out boolean);
+end issue1;
+
+architecture behav of issue1 is
+begin
+
+ bar <= (?? foo);
+
+end architecture;
+
diff --git a/testsuite/synth/issue1177/issue2.vhdl b/testsuite/synth/issue1177/issue2.vhdl
new file mode 100644
index 000000000..efe94ddd9
--- /dev/null
+++ b/testsuite/synth/issue1177/issue2.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue2 is
+ port (foo : in std_logic;
+ bar : out boolean);
+end issue2;
+
+architecture behav of issue2 is
+begin
+
+ --bar <= true when (?? foo) else false; -- works
+ bar <= true when false xor (?? foo) else false;
+
+end architecture;
diff --git a/testsuite/synth/issue1177/testsuite.sh b/testsuite/synth/issue1177/testsuite.sh
new file mode 100755
index 000000000..8cbfe93fd
--- /dev/null
+++ b/testsuite/synth/issue1177/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_analyze issue1
+synth_analyze issue2
+
+clean
+echo "Test successful"