diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-03-19 18:29:28 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-03-19 18:29:28 +0100 |
commit | cc944ffc3e99557a72f177e2d0b855b079e15bf0 (patch) | |
tree | 3cf246dc85e52f510f228c9c6216f2d433e7bd8c /testsuite/synth/issue1164 | |
parent | 863f50775bb63bcea435535c58a8e04ff4bfc135 (diff) | |
download | ghdl-cc944ffc3e99557a72f177e2d0b855b079e15bf0.tar.gz ghdl-cc944ffc3e99557a72f177e2d0b855b079e15bf0.tar.bz2 ghdl-cc944ffc3e99557a72f177e2d0b855b079e15bf0.zip |
testsuite/synth: add a test for #1164
Diffstat (limited to 'testsuite/synth/issue1164')
-rw-r--r-- | testsuite/synth/issue1164/bug.vhdl | 27 | ||||
-rwxr-xr-x | testsuite/synth/issue1164/testsuite.sh | 9 |
2 files changed, 36 insertions, 0 deletions
diff --git a/testsuite/synth/issue1164/bug.vhdl b/testsuite/synth/issue1164/bug.vhdl new file mode 100644 index 000000000..a0b9ac969 --- /dev/null +++ b/testsuite/synth/issue1164/bug.vhdl @@ -0,0 +1,27 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity bug is + port( + clk : in std_ulogic; + reset_n : in std_ulogic + ); +end bug; + +architecture behav of bug is + component comp is + port ( + data : in std_ulogic_vector + ); + end component; + + type fifo_rdata_t is array (0 to 0) of std_ulogic_vector(4*8-1 downto 0); + signal fifo_rdata : fifo_rdata_t; +begin + c : comp + port map( + data => fifo_rdata(0) + ); +end architecture; + diff --git a/testsuite/synth/issue1164/testsuite.sh b/testsuite/synth/issue1164/testsuite.sh new file mode 100755 index 000000000..2be3b2f1a --- /dev/null +++ b/testsuite/synth/issue1164/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_analyze bug + +clean + +echo "Test successful" |