aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1002
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-04 21:06:51 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-04 21:06:51 +0100
commit121afa1acb074c797d516077092f6903f8cc537e (patch)
treefd17f26a4ec3ad723da97956995fd448751efe57 /testsuite/synth/issue1002
parentee3cfb9ac0f14f2c74cd5fa579fd602a53f21936 (diff)
downloadghdl-121afa1acb074c797d516077092f6903f8cc537e.tar.gz
ghdl-121afa1acb074c797d516077092f6903f8cc537e.tar.bz2
ghdl-121afa1acb074c797d516077092f6903f8cc537e.zip
testsuite/synth: add testcase for #1002.
Diffstat (limited to 'testsuite/synth/issue1002')
-rw-r--r--testsuite/synth/issue1002/test.vhdl15
-rwxr-xr-xtestsuite/synth/issue1002/testsuite.sh11
2 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/issue1002/test.vhdl b/testsuite/synth/issue1002/test.vhdl
new file mode 100644
index 000000000..0e8750807
--- /dev/null
+++ b/testsuite/synth/issue1002/test.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.math_real.all;
+
+entity test is
+ generic (
+ BITS : positive := 2
+ );
+end entity test;
+
+architecture rtl of test is
+ constant count : positive := 2 ** BITS - 1;
+ subtype node_t is integer range 0 to count;
+begin
+end;
diff --git a/testsuite/synth/issue1002/testsuite.sh b/testsuite/synth/issue1002/testsuite.sh
new file mode 100755
index 000000000..dc5334e7e
--- /dev/null
+++ b/testsuite/synth/issue1002/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in test; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+ analyze syn_$f.vhdl
+done
+clean
+
+echo "Test successful"