aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func01.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-13 07:28:55 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-13 07:28:55 +0200
commit32fee63a7b9d7fd5b86c1efc7280441e7d0dbf1f (patch)
treea776901d4525e7120732e0bf688036de5381837c /testsuite/synth/func01/tb_func01.vhdl
parent2e61401a3d12ede4bb72bc6749d7f6ae905d6376 (diff)
downloadghdl-32fee63a7b9d7fd5b86c1efc7280441e7d0dbf1f.tar.gz
ghdl-32fee63a7b9d7fd5b86c1efc7280441e7d0dbf1f.tar.bz2
ghdl-32fee63a7b9d7fd5b86c1efc7280441e7d0dbf1f.zip
testsuite/synth: add more tests in func01.
Diffstat (limited to 'testsuite/synth/func01/tb_func01.vhdl')
-rw-r--r--testsuite/synth/func01/tb_func01.vhdl27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/synth/func01/tb_func01.vhdl b/testsuite/synth/func01/tb_func01.vhdl
new file mode 100644
index 000000000..ec556c6f0
--- /dev/null
+++ b/testsuite/synth/func01/tb_func01.vhdl
@@ -0,0 +1,27 @@
+entity tb_func01 is
+end tb_func01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_func01 is
+ signal a, b : std_logic_vector(7 downto 0);
+ signal sel : std_logic;
+begin
+ dut: entity work.func01
+ port map (a, sel, b);
+
+ process
+ begin
+ a <= x"5d";
+ sel <= '1';
+ wait for 1 ns;
+ assert b = x"0d" severity failure;
+
+ sel <= '0';
+ wait for 1 ns;
+ assert b = x"5d" severity failure;
+
+ wait;
+ end process;
+end behav;