aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/forgen01
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-20 18:52:06 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-20 18:52:06 +0200
commit7bafc11a48a61526093ce2ce31fc5d91bd1a4a0f (patch)
treefe28a40089a6513cffd2be65ded71dee1a27621e /testsuite/synth/forgen01
parentfb10c9a037972b4bea76f8b4af98e97498978531 (diff)
downloadghdl-7bafc11a48a61526093ce2ce31fc5d91bd1a4a0f.tar.gz
ghdl-7bafc11a48a61526093ce2ce31fc5d91bd1a4a0f.tar.bz2
ghdl-7bafc11a48a61526093ce2ce31fc5d91bd1a4a0f.zip
synth: fix test name.
Diffstat (limited to 'testsuite/synth/forgen01')
-rw-r--r--testsuite/synth/forgen01/forgen01.vhdl14
-rw-r--r--testsuite/synth/forgen01/tb_forgen01.vhdl19
-rwxr-xr-xtestsuite/synth/forgen01/testsuite.sh16
3 files changed, 49 insertions, 0 deletions
diff --git a/testsuite/synth/forgen01/forgen01.vhdl b/testsuite/synth/forgen01/forgen01.vhdl
new file mode 100644
index 000000000..b3e5a0eb0
--- /dev/null
+++ b/testsuite/synth/forgen01/forgen01.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity forgen01 is
+ port (a : out std_logic_vector (7 downto 0));
+end;
+
+architecture behav of forgen01 is
+ constant c : std_logic_vector (7 downto 0) := x"a1";
+begin
+ gen: for i in a'range generate
+ a (i) <= c (i);
+ end generate;
+end behav;
diff --git a/testsuite/synth/forgen01/tb_forgen01.vhdl b/testsuite/synth/forgen01/tb_forgen01.vhdl
new file mode 100644
index 000000000..df0698fcc
--- /dev/null
+++ b/testsuite/synth/forgen01/tb_forgen01.vhdl
@@ -0,0 +1,19 @@
+entity tb_forgen01 is
+end tb_forgen01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_forgen01 is
+ signal a : std_logic_vector (7 downto 0);
+begin
+ dut: entity work.forgen01
+ port map (a);
+
+ process
+ begin
+ wait for 1 ns;
+ assert a = x"a1" severity failure;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/forgen01/testsuite.sh b/testsuite/synth/forgen01/testsuite.sh
new file mode 100755
index 000000000..70ee6bc2a
--- /dev/null
+++ b/testsuite/synth/forgen01/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in forgen01; do
+ analyze $t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl tb_$t.vhdl
+ elab_simulate tb_$t
+ clean
+done
+
+echo "Test successful"