aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec01.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-04 06:52:02 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-04 06:52:02 +0200
commit95433bc986b16badf5a48ab00df861d0424ca983 (patch)
treed63a2bb74ee44cbefc814ddae9276f06f464dac8 /testsuite/synth/dispout01/pkg_rec01.vhdl
parentfcaac98cefe3917610b88de7cc776a9fe2ee10a3 (diff)
downloadghdl-95433bc986b16badf5a48ab00df861d0424ca983.tar.gz
ghdl-95433bc986b16badf5a48ab00df861d0424ca983.tar.bz2
ghdl-95433bc986b16badf5a48ab00df861d0424ca983.zip
testsuite/synth: add tests for previous commit.
Diffstat (limited to 'testsuite/synth/dispout01/pkg_rec01.vhdl')
-rw-r--r--testsuite/synth/dispout01/pkg_rec01.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/pkg_rec01.vhdl b/testsuite/synth/dispout01/pkg_rec01.vhdl
new file mode 100644
index 000000000..c2048c3ea
--- /dev/null
+++ b/testsuite/synth/dispout01/pkg_rec01.vhdl
@@ -0,0 +1,9 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package rec01_pkg is
+ type myrec is record
+ a : std_logic;
+ b : std_logic;
+ end record;
+end rec01_pkg;