aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp01/and6.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-10 18:57:30 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-10 19:06:07 +0200
commitbd6da20d2370c512c4ef46349391bfc8c83ded68 (patch)
treee973fd127fa8121b3b675ac235a2ccfc122d0723 /testsuite/synth/comp01/and6.vhdl
parent0ef59aec0acc050d09dc74c047aa224081c4eced (diff)
downloadghdl-bd6da20d2370c512c4ef46349391bfc8c83ded68.tar.gz
ghdl-bd6da20d2370c512c4ef46349391bfc8c83ded68.tar.bz2
ghdl-bd6da20d2370c512c4ef46349391bfc8c83ded68.zip
synth: add a simple test for instantiation.
Diffstat (limited to 'testsuite/synth/comp01/and6.vhdl')
-rw-r--r--testsuite/synth/comp01/and6.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/comp01/and6.vhdl b/testsuite/synth/comp01/and6.vhdl
new file mode 100644
index 000000000..2aadd5261
--- /dev/null
+++ b/testsuite/synth/comp01/and6.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity and6 is
+ port (i0, i1, i2, i3, i4, i5 : std_logic;
+ o : out std_logic);
+end and6;
+
+architecture behav of and6 is
+ signal t1, t2 : std_logic;
+begin
+ a1: entity work.and3
+ port map (i0, i1, i2, t1);
+ a2: entity work.and3
+ port map (i3, i4, i5, t2);
+ o <= t1 and t2;
+end behav;