aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-29 08:41:40 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-07-01 06:39:46 +0200
commit6df51cb7d14d8e29d5aad0883d748f38f53115f1 (patch)
tree3153ef9f8c9f673dab5736c6f404efbf366fc58e /testsuite/pyunit
parente8556a64e6ad3cedbe4862e6be992f516536abf7 (diff)
downloadghdl-6df51cb7d14d8e29d5aad0883d748f38f53115f1.tar.gz
ghdl-6df51cb7d14d8e29d5aad0883d748f38f53115f1.tar.bz2
ghdl-6df51cb7d14d8e29d5aad0883d748f38f53115f1.zip
Renamed '_?[nN]ame: str' to '_?[iI]dentifier: str'.
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r--testsuite/pyunit/dom/Literals.py4
-rw-r--r--testsuite/pyunit/dom/Sanity.py1
-rw-r--r--testsuite/pyunit/dom/SimpleEntity.py4
-rw-r--r--testsuite/pyunit/dom/SimplePackage.py4
4 files changed, 6 insertions, 7 deletions
diff --git a/testsuite/pyunit/dom/Literals.py b/testsuite/pyunit/dom/Literals.py
index a69481ef4..905ea7749 100644
--- a/testsuite/pyunit/dom/Literals.py
+++ b/testsuite/pyunit/dom/Literals.py
@@ -74,12 +74,12 @@ class Literals(TestCase):
self.assertEqual(len(design.Documents[0].Packages), 1)
package = design.Documents[0].Packages[0]
- self.assertTrue(package.Name == "package_1")
+ self.assertTrue(package.Identifier == "package_1")
self.assertEqual(len(package.DeclaredItems), len(expected))
for i in range(len(expected)):
item: Constant = package.DeclaredItems[i]
self.assertTrue(isinstance(item, Constant))
- self.assertTrue(item.Name == "c{}".format(i))
+ self.assertTrue(item.Identifier == "c{}".format(i))
self.assertTrue(str(item.SubType.SymbolName) == "integer")
self.assertTrue(isinstance(item.DefaultExpression, IntegerLiteral))
self.assertTrue(item.DefaultExpression.Value == expected[i])
diff --git a/testsuite/pyunit/dom/Sanity.py b/testsuite/pyunit/dom/Sanity.py
index b0177f8b3..566a0265b 100644
--- a/testsuite/pyunit/dom/Sanity.py
+++ b/testsuite/pyunit/dom/Sanity.py
@@ -49,7 +49,6 @@ _GHDL_ROOT = _TESTSUITE_ROOT.parent
design = Design()
-@mark.xfail
@mark.parametrize("file", [str(f.relative_to(_TESTSUITE_ROOT)) for f in _TESTSUITE_ROOT.glob("sanity/**/*.vhdl")])
def test_AllVHDLSources(file):
check_call([sys_executable, _GHDL_ROOT / "pyGHDL/cli/DOM.py", file], stderr=STDOUT)
diff --git a/testsuite/pyunit/dom/SimpleEntity.py b/testsuite/pyunit/dom/SimpleEntity.py
index 9ee55508c..3ea3967a0 100644
--- a/testsuite/pyunit/dom/SimpleEntity.py
+++ b/testsuite/pyunit/dom/SimpleEntity.py
@@ -67,7 +67,7 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Entities), 1)
- self.assertTrue(design.Documents[0].Entities[0].Name == "entity_1")
+ self.assertTrue(design.Documents[0].Entities[0].Identifier == "entity_1")
def test_Architecture(self):
design = Design()
@@ -75,4 +75,4 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Architectures), 1)
- self.assertTrue(design.Documents[0].Architectures[0].Name == "behav")
+ self.assertTrue(design.Documents[0].Architectures[0].Identifier == "behav")
diff --git a/testsuite/pyunit/dom/SimplePackage.py b/testsuite/pyunit/dom/SimplePackage.py
index 399a676b4..c2a26d427 100644
--- a/testsuite/pyunit/dom/SimplePackage.py
+++ b/testsuite/pyunit/dom/SimplePackage.py
@@ -52,7 +52,7 @@ class SimplePackage(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Packages), 1)
- self.assertTrue(design.Documents[0].Packages[0].Name == "pack_1")
+ self.assertTrue(design.Documents[0].Packages[0].Identifier == "pack_1")
def test_PackageBody(self):
design = Design()
@@ -60,4 +60,4 @@ class SimplePackage(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].PackageBodies), 1)
- self.assertTrue(design.Documents[0].PackageBodies[0].Name == "pack_1")
+ self.assertTrue(design.Documents[0].PackageBodies[0].Identifier == "pack_1")