aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-11-28 23:18:48 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-23 23:42:29 +0100
commit2161dcbb0077057e1da026368ef44e056e637d43 (patch)
tree32bb41fb00b5526d1bffa32040eea45ac6bdbf9d /testsuite/pyunit
parent3a3e8e5fded027c1dc6e3566c5ad9a30e8bc5297 (diff)
downloadghdl-2161dcbb0077057e1da026368ef44e056e637d43.tar.gz
ghdl-2161dcbb0077057e1da026368ef44e056e637d43.tar.bz2
ghdl-2161dcbb0077057e1da026368ef44e056e637d43.zip
Added comments to test files.
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r--testsuite/pyunit/SimplePackage.vhdl4
1 files changed, 3 insertions, 1 deletions
diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl
index 0571e7060..dca7e15dc 100644
--- a/testsuite/pyunit/SimplePackage.vhdl
+++ b/testsuite/pyunit/SimplePackage.vhdl
@@ -2,12 +2,14 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
+-- Documentation before pack_1
package pack_1 is
+ -- Global constant const_1
constant const_1 : boolean := false;
end package;
package body pack_1 is
- constant const_1 : boolean := true;
+ constant const_2 : boolean := true;
end package body;