aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/006opterr/replies.json
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-17 12:13:09 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-17 15:02:05 +0100
commitaf933dcff3b4001afca6e02ace086927223bb9e7 (patch)
treec137418a93f0cbd5ed95bb7cd548514cf7289cb6 /testsuite/pyunit/lsp/006opterr/replies.json
parent6639b1c8535493f66ad1d2c9ec579af33684ca1a (diff)
downloadghdl-af933dcff3b4001afca6e02ace086927223bb9e7.tar.gz
ghdl-af933dcff3b4001afca6e02ace086927223bb9e7.tar.bz2
ghdl-af933dcff3b4001afca6e02ace086927223bb9e7.zip
testsuite/pyunit/lsp: check references and adjust them
Diffstat (limited to 'testsuite/pyunit/lsp/006opterr/replies.json')
-rw-r--r--testsuite/pyunit/lsp/006opterr/replies.json10
1 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/pyunit/lsp/006opterr/replies.json b/testsuite/pyunit/lsp/006opterr/replies.json
index e32ae2017..a5a142112 100644
--- a/testsuite/pyunit/lsp/006opterr/replies.json
+++ b/testsuite/pyunit/lsp/006opterr/replies.json
@@ -35,7 +35,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/heartbeat.vhdl",
+ "uri": "file://../files/heartbeat.vhdl",
"diagnostics": []
}
},
@@ -47,7 +47,7 @@
"kind": 2,
"name": "heartbeat",
"location": {
- "uri": "file://files/heartbeat.vhdl",
+ "uri": "file://../files/heartbeat.vhdl",
"range": {
"start": {
"line": 4,
@@ -64,7 +64,7 @@
"kind": 2,
"name": "behaviour",
"location": {
- "uri": "file://files/heartbeat.vhdl",
+ "uri": "file://../files/heartbeat.vhdl",
"range": {
"start": {
"line": 8,
@@ -81,7 +81,7 @@
"kind": 6,
"name": "clk_process",
"location": {
- "uri": "file://files/heartbeat.vhdl",
+ "uri": "file://../files/heartbeat.vhdl",
"range": {
"start": {
"line": 13,
@@ -97,7 +97,7 @@
"kind": 2,
"name": "behaviour",
"location": {
- "uri": "file://files/heartbeat.vhdl",
+ "uri": "file://../files/heartbeat.vhdl",
"range": {
"start": {
"line": 8,