aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-18 19:13:17 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-19 07:40:56 +0100
commit181943dc7378a8899353ed4b885ab5f290004677 (patch)
treed92f7642a4703bc63f9307e22b580716e83adeca /testsuite/pyunit/lsp/003errors
parent7dc3c1979f7451eb517863a5a8c501bc6c3d0a47 (diff)
downloadghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.gz
ghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.bz2
ghdl-181943dc7378a8899353ed4b885ab5f290004677.zip
testsuite/pyunit/lsp: use absolute path and metavalue @ROOT@
Diffstat (limited to 'testsuite/pyunit/lsp/003errors')
-rw-r--r--testsuite/pyunit/lsp/003errors/cmds.json10
-rw-r--r--testsuite/pyunit/lsp/003errors/crash1.json8
-rw-r--r--testsuite/pyunit/lsp/003errors/crash2.json10
-rw-r--r--testsuite/pyunit/lsp/003errors/replies.json6
4 files changed, 17 insertions, 17 deletions
diff --git a/testsuite/pyunit/lsp/003errors/cmds.json b/testsuite/pyunit/lsp/003errors/cmds.json
index 9d0ec527f..2d687517e 100644
--- a/testsuite/pyunit/lsp/003errors/cmds.json
+++ b/testsuite/pyunit/lsp/003errors/cmds.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 5529,
- "rootPath": "/003errors",
- "rootUri": "file://003errors",
+ "rootPath": "@ROOT@/003errors",
+ "rootUri": "file://@ROOT@/003errors",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file://pyunit/lsp/003errors",
+ "uri": "file://@ROOT@/003errors",
"name": "003errors"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity tb is\nend tb;\n\narchitecture behav of tb is\n signal s : std_logic_vector(7 downto 0);\nbegin\n s <= x\"73\";\nend behav; \n"
@@ -232,7 +232,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl"
+ "uri": "file://@ROOT@/003errors/tc.vhdl"
}
}
},
diff --git a/testsuite/pyunit/lsp/003errors/crash1.json b/testsuite/pyunit/lsp/003errors/crash1.json
index 49c43326b..c323639ac 100644
--- a/testsuite/pyunit/lsp/003errors/crash1.json
+++ b/testsuite/pyunit/lsp/003errors/crash1.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 27805,
- "rootPath": "003errors",
- "rootUri": "file://003errors",
+ "rootPath": "@ROOT@/003errors",
+ "rootUri": "file://@ROOT@/003errors",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file://003errors",
+ "uri": "file://@ROOT@/003errors",
"name": "003errors"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"languageId": "vhdl",
"version": 74,
"text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity \n"
diff --git a/testsuite/pyunit/lsp/003errors/crash2.json b/testsuite/pyunit/lsp/003errors/crash2.json
index 4891a0207..a3d89bee1 100644
--- a/testsuite/pyunit/lsp/003errors/crash2.json
+++ b/testsuite/pyunit/lsp/003errors/crash2.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 30387,
- "rootPath": "003errors",
- "rootUri": "file://003errors",
+ "rootPath": "@ROOT@/003errors",
+ "rootUri": "file://@ROOT@/003errors",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file://003errors",
+ "uri": "file://@ROOT@/003errors",
"name": "003errors"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"languageId": "vhdl",
"version": 172,
"text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity tb is\nend tb;\n\narchitecture behav of tb is\n signal s : std_logic_vector(7 downto 0);\nbegin\n assert s != x\"73\";\n end process;\nend behav; \n"
@@ -232,7 +232,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://003errors/tc.vhdl"
+ "uri": "file://@ROOT@/003errors/tc.vhdl"
}
}
}
diff --git a/testsuite/pyunit/lsp/003errors/replies.json b/testsuite/pyunit/lsp/003errors/replies.json
index 9272ee7c8..65aa5370e 100644
--- a/testsuite/pyunit/lsp/003errors/replies.json
+++ b/testsuite/pyunit/lsp/003errors/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"diagnostics": [
{
"source": "ghdl",
@@ -55,7 +55,7 @@
"kind": 2,
"name": "tb",
"location": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"range": {
"start": {
"line": 4,
@@ -72,7 +72,7 @@
"kind": 2,
"name": "behav",
"location": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"range": {
"start": {
"line": 7,