aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/002coverage/replies.json
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-01-06 13:43:46 +0100
committertgingold <tgingold@users.noreply.github.com>2021-01-07 18:44:50 +0100
commitcb3c1053a36c0c80167c5af3404693eabd898f6c (patch)
tree7529fae373ff5d59cff38e6db12ba06690c3679a /testsuite/pyunit/lsp/002coverage/replies.json
parentd3ad83012baca2e80bb4f34c8ccbf1f52c2f9100 (diff)
downloadghdl-cb3c1053a36c0c80167c5af3404693eabd898f6c.tar.gz
ghdl-cb3c1053a36c0c80167c5af3404693eabd898f6c.tar.bz2
ghdl-cb3c1053a36c0c80167c5af3404693eabd898f6c.zip
Added json files from https://github.com/ghdl/ghdl-language-server repository.
Diffstat (limited to 'testsuite/pyunit/lsp/002coverage/replies.json')
-rw-r--r--testsuite/pyunit/lsp/002coverage/replies.json627
1 files changed, 627 insertions, 0 deletions
diff --git a/testsuite/pyunit/lsp/002coverage/replies.json b/testsuite/pyunit/lsp/002coverage/replies.json
new file mode 100644
index 000000000..f573052cb
--- /dev/null
+++ b/testsuite/pyunit/lsp/002coverage/replies.json
@@ -0,0 +1,627 @@
+[
+ {
+ "jsonrpc": "2.0",
+ "id": 0,
+ "result": {
+ "capabilities": {
+ "textDocumentSync": {
+ "openClose": true,
+ "change": 2,
+ "save": {
+ "includeText": true
+ }
+ },
+ "hoverProvider": false,
+ "definitionProvider": true,
+ "referencesProvider": false,
+ "documentHighlightProvider": false,
+ "documentSymbolProvider": true,
+ "codeActionProvider": false,
+ "documentFormattingProvider": false,
+ "documentRangeFormattingProvider": true,
+ "renameProvider": false
+ }
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": []
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 1,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 0
+ },
+ "end": {
+ "line": 5,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "rtl",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 7,
+ "character": 0
+ },
+ "end": {
+ "line": 14,
+ "character": 0
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder_tb.vhdl",
+ "diagnostics": []
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 2,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder_tb",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder_tb.vhdl",
+ "range": {
+ "start": {
+ "line": 2,
+ "character": 0
+ },
+ "end": {
+ "line": 3,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "behav",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder_tb.vhdl",
+ "range": {
+ "start": {
+ "line": 5,
+ "character": 0
+ },
+ "end": {
+ "line": 57,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 6,
+ "name": "adder_0",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder_tb.vhdl",
+ "range": {
+ "start": {
+ "line": 16,
+ "character": 2
+ },
+ "end": {
+ "line": 16,
+ "character": 9
+ }
+ }
+ },
+ "containerName": {
+ "kind": 2,
+ "name": "behav",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder_tb.vhdl",
+ "range": {
+ "start": {
+ "line": 5,
+ "character": 0
+ },
+ "end": {
+ "line": 57,
+ "character": 0
+ }
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 3,
+ "result": [
+ {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 7
+ },
+ "end": {
+ "line": 1,
+ "character": 12
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": []
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 4,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 0
+ },
+ "end": {
+ "line": 5,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "rtl",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 7,
+ "character": 0
+ },
+ "end": {
+ "line": 15,
+ "character": 0
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": [
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "'<=' is expected instead of \"co\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "no declaration for \"e\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "target is not a signal name",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "port \"co\" cannot be read",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 20
+ },
+ "end": {
+ "line": 14,
+ "character": 20
+ }
+ },
+ "message": "no function declarations for operator \"or\"",
+ "severity": 1
+ }
+ ]
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 5,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 0
+ },
+ "end": {
+ "line": 5,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "rtl",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 7,
+ "character": 0
+ },
+ "end": {
+ "line": 15,
+ "character": 0
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": [
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "'<=' is expected instead of \"co\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "no declaration for \"er\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "target is not a signal name",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "port \"co\" cannot be read",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 20
+ },
+ "end": {
+ "line": 14,
+ "character": 20
+ }
+ },
+ "message": "no function declarations for operator \"or\"",
+ "severity": 1
+ }
+ ]
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": [
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "'<=' is expected instead of \"co\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "no declaration for \"err\"",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "target is not a signal name",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 2
+ },
+ "end": {
+ "line": 14,
+ "character": 2
+ }
+ },
+ "message": "port \"co\" cannot be read",
+ "severity": 1
+ },
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 14,
+ "character": 20
+ },
+ "end": {
+ "line": 14,
+ "character": 20
+ }
+ },
+ "message": "no function declarations for operator \"or\"",
+ "severity": 1
+ }
+ ]
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 6,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 0
+ },
+ "end": {
+ "line": 5,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "rtl",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 7,
+ "character": 0
+ },
+ "end": {
+ "line": 15,
+ "character": 0
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": [
+ {
+ "source": "ghdl",
+ "range": {
+ "start": {
+ "line": 12,
+ "character": 2
+ },
+ "end": {
+ "line": 12,
+ "character": 2
+ }
+ },
+ "message": "no declaration for \"err\"",
+ "severity": 1
+ }
+ ]
+ }
+ },
+ {
+ "jsonrpc": "2.0",
+ "id": 7,
+ "result": [
+ {
+ "kind": 2,
+ "name": "adder",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 1,
+ "character": 0
+ },
+ "end": {
+ "line": 5,
+ "character": 0
+ }
+ }
+ }
+ },
+ {
+ "kind": 2,
+ "name": "rtl",
+ "location": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "range": {
+ "start": {
+ "line": 7,
+ "character": 0
+ },
+ "end": {
+ "line": 15,
+ "character": 0
+ }
+ }
+ }
+ }
+ ]
+ },
+ {
+ "jsonrpc": "2.0",
+ "method": "textDocument/publishDiagnostics",
+ "params": {
+ "uri": "file:///home/tgingold/work/vhdl-language-server/tests/files/adder.vhdl",
+ "diagnostics": []
+ }
+ }
+]