aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/001simple
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-18 19:13:17 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-19 07:40:56 +0100
commit181943dc7378a8899353ed4b885ab5f290004677 (patch)
treed92f7642a4703bc63f9307e22b580716e83adeca /testsuite/pyunit/lsp/001simple
parent7dc3c1979f7451eb517863a5a8c501bc6c3d0a47 (diff)
downloadghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.gz
ghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.bz2
ghdl-181943dc7378a8899353ed4b885ab5f290004677.zip
testsuite/pyunit/lsp: use absolute path and metavalue @ROOT@
Diffstat (limited to 'testsuite/pyunit/lsp/001simple')
-rw-r--r--testsuite/pyunit/lsp/001simple/cmds.json8
-rw-r--r--testsuite/pyunit/lsp/001simple/replies.json2
2 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/pyunit/lsp/001simple/cmds.json b/testsuite/pyunit/lsp/001simple/cmds.json
index e67503258..5681e6314 100644
--- a/testsuite/pyunit/lsp/001simple/cmds.json
+++ b/testsuite/pyunit/lsp/001simple/cmds.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 2,
- "rootPath": ".",
- "rootUri": "file:///.",
+ "rootPath": "@ROOT@",
+ "rootUri": "file://@ROOT@/001simple",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file:///.",
+ "uri": "file://@ROOT@/001simple",
"name": "001simple"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file:///../files/hello.vhdl",
+ "uri": "file://@ROOT@/files/hello.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "\n-- Hello world program\nuse std.textio.all; -- Imports the standard textio package.\n\n-- Defines a design entity, without any ports.\nentity hello_world is\nend hello_world;\n\narchitecture behaviour of hello_world is\nbegin\n process\n variable l : line;\n begin\n write (l, String'(\"Hello world!\"));\n writeline (output, l);\n wait;\n end process;\nend behaviour;\n\n"
diff --git a/testsuite/pyunit/lsp/001simple/replies.json b/testsuite/pyunit/lsp/001simple/replies.json
index 55a84917b..94d81a90c 100644
--- a/testsuite/pyunit/lsp/001simple/replies.json
+++ b/testsuite/pyunit/lsp/001simple/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file:///../files/hello.vhdl",
+ "uri": "file://@ROOT@/files/hello.vhdl",
"diagnostics": []
}
}