aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/ent_bef.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-05 21:39:51 +0100
committertgingold <tgingold@users.noreply.github.com>2022-12-06 07:23:44 +0100
commit9824b3c9a8d2103a5ecd77ff957536fa16c24bbf (patch)
tree5e870dfeaed5db9899a20bb5e10b963333bcc487 /testsuite/pyunit/libghdl/ent_bef.vhdl
parent60c43acaf82696dabdbf8a88138a656a9bde982c (diff)
downloadghdl-9824b3c9a8d2103a5ecd77ff957536fa16c24bbf.tar.gz
ghdl-9824b3c9a8d2103a5ecd77ff957536fa16c24bbf.tar.bz2
ghdl-9824b3c9a8d2103a5ecd77ff957536fa16c24bbf.zip
Reorganized testcases.
Diffstat (limited to 'testsuite/pyunit/libghdl/ent_bef.vhdl')
-rw-r--r--testsuite/pyunit/libghdl/ent_bef.vhdl4
1 files changed, 0 insertions, 4 deletions
diff --git a/testsuite/pyunit/libghdl/ent_bef.vhdl b/testsuite/pyunit/libghdl/ent_bef.vhdl
deleted file mode 100644
index 0fbf61d22..000000000
--- a/testsuite/pyunit/libghdl/ent_bef.vhdl
+++ /dev/null
@@ -1,4 +0,0 @@
--- comments before design units (javadoc / .net documentation style) :e1:
--- might be multiline :e1:
-entity e1 is
-end entity;