aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-27 22:44:03 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-27 22:44:03 +0100
commita525cd1f73760041f2d8c3dcc4f4e09eb024cff7 (patch)
tree66ae7b8deb5a30d15609e9aeb47a725ef2d4f95a /testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
parent6ac6c1933ecf06ee908ac4e0f0ad27d532ba7d88 (diff)
downloadghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.tar.gz
ghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.tar.bz2
ghdl-a525cd1f73760041f2d8c3dcc4f4e09eb024cff7.zip
Fixed context and package names and their references in VHDL example code.
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl4
1 files changed, 3 insertions, 1 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
index c7c9068ab..3d73fa0fa 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.vhdl
@@ -7,7 +7,9 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-use work.Utilities.all;
+library lib_Utilities;
+use lib_Utilities.Utilities_pkg.all;
+
use work.StopWatch_pkg.all;