aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-26 22:41:06 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-26 22:41:06 +0100
commite2df91595f8647a81d53f164bf2f470fbbeb64dd (patch)
treec5cb4417804ed5ddd9bc286aa048144e3da9bf5f /testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
parent78a439d9e8a220125895312162fcebe62863f261 (diff)
downloadghdl-e2df91595f8647a81d53f164bf2f470fbbeb64dd.tar.gz
ghdl-e2df91595f8647a81d53f164bf2f470fbbeb64dd.tar.bz2
ghdl-e2df91595f8647a81d53f164bf2f470fbbeb64dd.zip
Improved StopWatch testcase.
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl22
1 files changed, 6 insertions, 16 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
index 1a40718aa..ea66f7597 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl
@@ -1,21 +1,11 @@
-- Author: Patrick Lehmann
-- License: MIT
--
--- A generic counter module used in the StopWatch example.
+-- undocumented
--
-library IEEE;
-use IEEE.std_logic_1164.all;
-use IEEE.numeric_std.all;
+context StopWatch_ctx is
+ library lib_Utilities;
+ context lib_Utilities.Utilities_pkg;
--- Package with stop watch specific types.
-package StopWatch_pkg is
- subtype T_BCD is unsigned(3 downto 0);
- type T_BCD_Vector is array(natural range <>) of T_BCD;
-
- type T_DIGIT_CONFIGURATION is record
- Modulo : positive;
- Dot : std_logic;
- end record;
-
- type T_STOPWATCH_CONFIGURATION is array(natural range <>) of T_DIGIT_CONFIGURATION;
-end package;
+ use work.StopWatch_pkg.all;
+end context;