aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/SimpleEntity.py
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-30 01:38:41 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-07-01 06:39:46 +0200
commit8a815d48790db46652034b6a72a581b0c9f40f40 (patch)
tree82cc9435e1600c6b27503727c635bb43cf7d0dc7 /testsuite/pyunit/dom/SimpleEntity.py
parent6acaf4b6baba21f8eca7520f518c62ffd75abd04 (diff)
downloadghdl-8a815d48790db46652034b6a72a581b0c9f40f40.tar.gz
ghdl-8a815d48790db46652034b6a72a581b0c9f40f40.tar.bz2
ghdl-8a815d48790db46652034b6a72a581b0c9f40f40.zip
Improved test code.
Diffstat (limited to 'testsuite/pyunit/dom/SimpleEntity.py')
-rw-r--r--testsuite/pyunit/dom/SimpleEntity.py6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/pyunit/dom/SimpleEntity.py b/testsuite/pyunit/dom/SimpleEntity.py
index 3ea3967a0..657e8abeb 100644
--- a/testsuite/pyunit/dom/SimpleEntity.py
+++ b/testsuite/pyunit/dom/SimpleEntity.py
@@ -59,7 +59,7 @@ class SimpleEntity(TestCase):
document = Document(self._filename)
design.Documents.append(document)
- self.assertTrue(len(design.Documents) == 1)
+ self.assertEqual(len(design.Documents), 1)
def test_Entity(self):
design = Design()
@@ -67,7 +67,7 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Entities), 1)
- self.assertTrue(design.Documents[0].Entities[0].Identifier == "entity_1")
+ self.assertEqual(design.Documents[0].Entities[0].Identifier, "entity_1")
def test_Architecture(self):
design = Design()
@@ -75,4 +75,4 @@ class SimpleEntity(TestCase):
design.Documents.append(document)
self.assertEqual(len(design.Documents[0].Architectures), 1)
- self.assertTrue(design.Documents[0].Architectures[0].Identifier == "behav")
+ self.assertEqual(design.Documents[0].Architectures[0].Identifier, "behav")