aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/Literals.py
diff options
context:
space:
mode:
authortgingold <tgingold@users.noreply.github.com>2021-06-20 16:58:55 +0200
committerGitHub <noreply@github.com>2021-06-20 16:58:55 +0200
commit37920daab7a1cdcdb7f6b54c2799d73b58634524 (patch)
tree8b68056072cdd34e47efa55aa629143552a55ba8 /testsuite/pyunit/dom/Literals.py
parent603c44d06dd0b3f2f49af25045b46dd8aa72979a (diff)
parent3f3cf203c02671ab4d181d8d74aac2c3cc2c7c5c (diff)
downloadghdl-37920daab7a1cdcdb7f6b54c2799d73b58634524.tar.gz
ghdl-37920daab7a1cdcdb7f6b54c2799d73b58634524.tar.bz2
ghdl-37920daab7a1cdcdb7f6b54c2799d73b58634524.zip
Merge pull request #1798 from Paebbels/paebbels/aggregates
Python-C/Ada Bindings - Updated decorator
Diffstat (limited to 'testsuite/pyunit/dom/Literals.py')
-rw-r--r--testsuite/pyunit/dom/Literals.py49
1 files changed, 49 insertions, 0 deletions
diff --git a/testsuite/pyunit/dom/Literals.py b/testsuite/pyunit/dom/Literals.py
new file mode 100644
index 000000000..7eb80abaa
--- /dev/null
+++ b/testsuite/pyunit/dom/Literals.py
@@ -0,0 +1,49 @@
+from pathlib import Path
+from textwrap import dedent
+from unittest import TestCase
+
+from pyGHDL.dom.Misc import Design, Document
+from pyGHDL.dom.Object import Constant
+from pyGHDL.dom.Literal import IntegerLiteral
+
+
+if __name__ == "__main__":
+ print("ERROR: you called a testcase declaration file as an executable module.")
+ print("Use: 'python -m unitest <testcase module>'")
+ exit(1)
+
+
+class Literals(TestCase):
+ _root = Path(__file__).resolve().parent.parent
+
+ def test_IntegerLiteral(self):
+ self._filename: Path = self._root / "{className}.vhdl".format(className=self.__class__.__name__)
+
+ sourceCode = dedent("""\
+ package package_1 is
+ constant c0 : integer := 0;
+ constant c1 : integer := 1;
+ constant c2 : integer := 1024;
+ constant c3 : integer := 1048576;
+ end package;
+ """)
+ expected = (0, 1, 1024, 1048576)
+
+ with self._filename.open(mode="w", encoding="utf-8") as file:
+ file.write(sourceCode)
+
+ design = Design()
+ document = Document(self._filename)
+ design.Documents.append(document)
+
+ self.assertEqual(len(design.Documents[0].Packages), 1)
+ package = design.Documents[0].Packages[0]
+ self.assertTrue(package.Name == "package_1")
+ self.assertEqual(len(package.DeclaredItems), len(expected))
+ for i in range(len(expected)):
+ item: Constant = package.DeclaredItems[i]
+ self.assertTrue(isinstance(item, Constant))
+ self.assertTrue(item.Name == "c{}".format(i))
+ self.assertTrue(item.SubType.SymbolName == "integer")
+ self.assertTrue(isinstance(item.DefaultExpression, IntegerLiteral))
+ self.assertTrue(item.DefaultExpression.Value == expected[i])