aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/Current.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-23 10:06:39 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-23 10:06:39 +0200
commit9fca189af9677e435a42eaae1edd91e1098596ac (patch)
tree5c93c53721534b45ba65cbe68076f612c6a4ff2b /testsuite/pyunit/Current.vhdl
parent1173ddab8255781e0e2bff2b92e8d07b7bf1c26d (diff)
downloadghdl-9fca189af9677e435a42eaae1edd91e1098596ac.tar.gz
ghdl-9fca189af9677e435a42eaae1edd91e1098596ac.tar.bz2
ghdl-9fca189af9677e435a42eaae1edd91e1098596ac.zip
Added handling of enumeration, array and record types.
Diffstat (limited to 'testsuite/pyunit/Current.vhdl')
-rw-r--r--testsuite/pyunit/Current.vhdl4
1 files changed, 3 insertions, 1 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 72af911eb..ed86e0614 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -23,12 +23,14 @@ architecture behav of entity_1 is
signal rst : std_logic := foo('U');
type newInt is range -4 to 3;
- type arr is array(natural range <>) of integer;
+ type newFp is range 4.3 downto -3.9;
+ type arr is array(natural range <>, enum range <>) of integer(3 downto 0);
type rec is record
elem1 : bit;
elem2 : boolean;
end record;
type enum is (e1, e2, e3);
+ type acc is access bar;
subtype uint8 is integer range 0 to 255;
-- file f : text;