diff options
author | Tristan Gingold <tgingold@free.fr> | 2022-06-24 06:14:57 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2022-06-25 06:50:56 +0200 |
commit | f682dca71469ded57bb637c29e41897672cffa99 (patch) | |
tree | 1659dff4d7a61a0da25be9afb152cfa7955be5c0 /testsuite/gna | |
parent | bf0f834e8036153fabdecdbf0a926764b523aaac (diff) | |
download | ghdl-f682dca71469ded57bb637c29e41897672cffa99.tar.gz ghdl-f682dca71469ded57bb637c29e41897672cffa99.tar.bz2 ghdl-f682dca71469ded57bb637c29e41897672cffa99.zip |
testsuite/gna: add a test for #2101
Diffstat (limited to 'testsuite/gna')
-rw-r--r-- | testsuite/gna/issue2101/ent.vhdl | 21 | ||||
-rwxr-xr-x | testsuite/gna/issue2101/testsuite.sh | 9 |
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue2101/ent.vhdl b/testsuite/gna/issue2101/ent.vhdl new file mode 100644 index 000000000..54d0be346 --- /dev/null +++ b/testsuite/gna/issue2101/ent.vhdl @@ -0,0 +1,21 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity ent is + port ( + clk: in std_logic; + reset: in std_logic); +end entity; + +architecture a of ent is +begin + foo: process(clk, reset) + variable counter: integer range 0 to 15; + begin + if reset = '1' then + counter := counter'high; + elsif rising_edge(clk) then + counter := counter - 1; + end if; + end process; +end; diff --git a/testsuite/gna/issue2101/testsuite.sh b/testsuite/gna/issue2101/testsuite.sh new file mode 100755 index 000000000..9e7e2a886 --- /dev/null +++ b/testsuite/gna/issue2101/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure ent.vhdl + +clean + +echo "Test successful" |