aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-03 19:27:48 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-03 19:27:48 +0200
commitd29f7f168efee2a97d219b57ce2f2f7c2ff7fc25 (patch)
treee1281c7546596f1e7f9103324c3ac9bf17cae71b /testsuite/gna
parent981ccfb0e80e8fffffeed480d311b8c156b640c1 (diff)
downloadghdl-d29f7f168efee2a97d219b57ce2f2f7c2ff7fc25.tar.gz
ghdl-d29f7f168efee2a97d219b57ce2f2f7c2ff7fc25.tar.bz2
ghdl-d29f7f168efee2a97d219b57ce2f2f7c2ff7fc25.zip
Add testcase for #810
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue810/my_time_pkg.vhdl14
-rw-r--r--testsuite/gna/issue810/repro.vhdl17
-rwxr-xr-xtestsuite/gna/issue810/testsuite.sh10
3 files changed, 41 insertions, 0 deletions
diff --git a/testsuite/gna/issue810/my_time_pkg.vhdl b/testsuite/gna/issue810/my_time_pkg.vhdl
new file mode 100644
index 000000000..39c87b736
--- /dev/null
+++ b/testsuite/gna/issue810/my_time_pkg.vhdl
@@ -0,0 +1,14 @@
+package my_time_pkg is
+
+ type my_time is range -integer'low to integer'high units
+ fs;
+ ps = 1000 fs;
+ ns = 1000 ps;
+ us = 1000 ns;
+ ms = 1000 us;
+ sec = 1000 ms;
+ min = 60 sec;
+ hr = 60 min;
+ end units;
+
+end package my_time_pkg;
diff --git a/testsuite/gna/issue810/repro.vhdl b/testsuite/gna/issue810/repro.vhdl
new file mode 100644
index 000000000..60727c4e5
--- /dev/null
+++ b/testsuite/gna/issue810/repro.vhdl
@@ -0,0 +1,17 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ type my_time is range -integer'low to integer'high units
+ fs;
+ ps = 1000 fs;
+ ns = 1000 ps;
+ us = 1000 ns;
+ ms = 1000 us;
+ sec = 1000 ms;
+ min = 60 sec;
+ hr = 60 min;
+ end units;
+begin
+end behav;
+
diff --git a/testsuite/gna/issue810/testsuite.sh b/testsuite/gna/issue810/testsuite.sh
new file mode 100755
index 000000000..7da7af956
--- /dev/null
+++ b/testsuite/gna/issue810/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"