aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-04-06 16:10:08 +0200
committerTristan Gingold <tgingold@free.fr>2019-04-06 16:10:08 +0200
commitc50affb98160ed4ff1956a6f538ea4ee0c2fb816 (patch)
treeeba5884007f3d65bd588c780219edb16826721b9 /testsuite/gna
parent44ef5328fbae25ac727ddeedae1ca03ff1b47d08 (diff)
downloadghdl-c50affb98160ed4ff1956a6f538ea4ee0c2fb816.tar.gz
ghdl-c50affb98160ed4ff1956a6f538ea4ee0c2fb816.tar.bz2
ghdl-c50affb98160ed4ff1956a6f538ea4ee0c2fb816.zip
Add reproducer for issue #791
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue791/memory_pkg.vhdl11
-rw-r--r--testsuite/gna/issue791/test.vhdl17
-rwxr-xr-xtestsuite/gna/issue791/testsuite.sh12
3 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/gna/issue791/memory_pkg.vhdl b/testsuite/gna/issue791/memory_pkg.vhdl
new file mode 100644
index 000000000..541b337bc
--- /dev/null
+++ b/testsuite/gna/issue791/memory_pkg.vhdl
@@ -0,0 +1,11 @@
+package generic_pkg is
+ generic (
+ procedure generic_procedure
+ );
+end package;
+package body generic_pkg is
+ procedure internal_procedure is
+ begin
+ generic_procedure;
+ end;
+end package body;
diff --git a/testsuite/gna/issue791/test.vhdl b/testsuite/gna/issue791/test.vhdl
new file mode 100644
index 000000000..77adb1e36
--- /dev/null
+++ b/testsuite/gna/issue791/test.vhdl
@@ -0,0 +1,17 @@
+entity test is
+end test;
+
+architecture behav of test is
+ procedure proc is
+ begin
+ null;
+ end proc;
+
+ package pkg is new work.generic_pkg
+ generic map (proc);
+begin
+ process
+ begin
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/issue791/testsuite.sh b/testsuite/gna/issue791/testsuite.sh
new file mode 100755
index 000000000..4084ad3e5
--- /dev/null
+++ b/testsuite/gna/issue791/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze memory_pkg.vhdl
+analyze test.vhdl
+elab_simulate test
+
+clean
+
+echo "Test successful"